Intel Introduces New Node Naming: 7 Nanometer Technologies Now Called “Intel 4” and “Intel 3,” Followed by “Intel 18A” (5 Nm) and “Intel 20A” (5+)

The FPS Review may receive a commission if you purchase something after clicking a link in this article.

Image: Intel

As part of today’s Intel Accelerated event for reassuring investors that Intel is poised to return to its former glory and reclaim its product leadership crown in 2025, Gelsinger revealed a brand-new road map that confirms Intel has completely changed its node naming. Instead of the traditional 7 nm and 7+ naming schemes, those products are now called “Intel 4” and “Intel 3,” respectively, while future 5 nm and 5+ products have been renamed to “Intel 20A” and “Intel 18A,” respectively. The “A” in those names refers to angstrom, a new era of semiconductors that will leverage two breakthrough process technologies also revealed by Gelsinger today: “RibbonFET, Intel’s first new transistor architecture in more than a decade, and PowerVia, an industry-first for backside power delivery.” Intel estimates that Intel 20A will ramp in 2024, followed by Intel 18A in 2025.

Image: AnandTech
  • Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center, which is expected to be in production in the first quarter of 2022.
  • Intel 4 fully embraces EUV lithography to print incredibly small features using ultra-short wavelength light. With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.
  • Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.
  • Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. Intel 20A is expected to ramp in 2024. The company is also excited about the opportunity to partner with Qualcomm using its Intel 20A process technology.
  • 2025 and Beyond: Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is also working to define, build and deploy next-generation High NA EUV, and expects to receive the first production tool in the industry. Intel is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.
Image: Intel

The industry has long recognized that traditional nanometer-based process node naming stopped matching the actual gate-length metric in 1997. Today, Intel introduced a new naming structure for its process nodes, creating a clear and consistent framework to give customers a more accurate view of process nodes across the industry. This clarity is more important than ever with the launch of Intel Foundry Services. “The innovations unveiled today will not only enable Intel’s product roadmap; they will also be critical for our foundry customers,” Gelsinger said. “The interest in IFS has been strong and I’m thrilled that today we announced our first two major customers. IFS is off to the races!”

Source: Intel (via AnandTech)

Tsing Mui
News poster at The FPS Review.

Recent News